當前位置:首頁 » 論文設計 » 畢業論文邏輯設計
擴展閱讀
中國網路原創新人樂團 2021-03-31 20:26:56
黨政視頻素材 2021-03-31 20:25:44
廈門大學統計學碩士 2021-03-31 20:25:36

畢業論文邏輯設計

發布時間: 2021-03-18 19:53:17

畢業論文(設計)應完成的主要內容

論文主要內容其實就是論文需要解決的關鍵問題。舉個例子,
為了使論文論點來源合理,論據有效,論證有力,符合理論邏輯,研究結論有實用性,本文在研究內容上做以下安排,並分別指出採用的研究方法: (1)團體輔導的起源、概念、特點、功能、局限性、實用案例,並與個別輔導作對比,以此闡明團體輔導的理論及實踐作用,作為本文研究的核心理論基礎。 該部分採用文獻綜述法及理論研究法。 (2)大學生社會適應性的特點、現狀以及存在的問題,目前研究報道中關於提高大學生社會適應性方面的建議與對策總結,以作為本文的研究背景來源,也是本文研究預期的實用價值。 該部分採用文獻綜述法及理論研究法。 (3)團體輔導用於干預大學生社會適應性的實驗研究,數據處理,結果及討論。這是本文的核心論證部分。 該部分採用實驗研究法,問卷調查法,深度訪談法,數據統計法,理論分析法。 (4)論點若被證實,提出使用本文研究結論的建議及對策,增強研究的實用性;論點若被否定,則探討分析結論不成立的可能性原因。 該部分採用理論分析法。

這部分就是本人寫的其中一篇論文的核心內容部分。
如果還有疑問,我可以繼續為您解答

㈡ 畢業論文設計應注意問題

先不說復內容,首先格制式要正確,一篇完整的畢業論文,題目,摘要(中英文),目錄,正文(引言,正文,結語),致謝,參考文獻。學校規定的格式,字體,段落,頁眉頁腳,開始寫之前,都得清楚的,你的論文算是寫好了五分之一。
然後,選題,你的題目時間寬裕,那就好好考慮,選一個你思考最成熟的,可以比較多的閱讀相關的參考文獻,從裡面獲得思路,確定一個模板性質的東西,照著來,寫出自己的東西。如果時間緊急,那就隨便找一個參考文獻,然後用和這個參考文獻相關的文獻,拼出一篇,再改改。
正文,語言必須是學術的語言。一定先列好提綱,這就是框定每一部分些什麼,保證內容不亂,將內容放進去,寫好了就。

㈢ 關於畢業論文(設計)任務書的

一、畢業論文的總體熟悉 1、概念:畢業論文是有特定的審定標準的論文,對選題、中心論點、資料論據、框架結構、語言表述有明確的規定和要求。 2、對象:畢業論文是和專家的對話。不要寫大段的作家生平、作品介紹,在最後答辯時同樣要注重,陳述時不要介紹論文內容,而應該注重在為什麼要寫這篇論文、自己的創新點、局限性、不足這些地方。 3、標准和要求: 1)論點明確,要在3-5分鍾之內能陳述清楚自己的論點。論點新奇論文可以達到優秀的水平。 2)中心突出,無論分幾個方面說明,都要始終圍繞中心主題。 3)論據充分,扎實。所找的材料要貼切論題,別人的評論、作家的自述、各家的論爭、以前和現在的對這個論題所研究的結果都可以做論據。 4)思路清楚,結構合理。分段代表不同的思路,不同的層次。沒有段落的文章不是好文章,反之,分段太多太碎的也不是好文章。分段不宜過多,3-5段。 5)表述要清楚明了。文字不要華麗,少用修辭手法,文章風格要質朴、清楚,語言要干凈利落。 6)寫作要規范。注釋、引文要高度的嚴格,論點的提出要有依據,要做到學術規范。 7)要有新的角度、新的觀點。 4、態度:要用全部的聰明和力量去完成,盡可能的查找一切的材料,要高度的重視、思考一切相關的問題,盡可能達到最大限度的深度和廣度。 二、寫作時注重的幾個問題 1、選題(是至關重要的):決不是隨便選一個題目的問題。選題是一個復雜而豐富的過程,是對自己以往學習的一個完整清理的過程。要認真回顧對哪些內容印象更深、更感愛好,哪些是有可能寫的比較好的,可能會接觸哪些材料。題目本身的大小難易不是問題,是並不重要的,要害在於怎麼寫。怎麼寫永遠比寫什麼重要,任何半點突破都是有價值的,和別人的差異就是價值。論題舉例: 古典中的現代和現代中的古典(有著哲學思辨的意味) 論錢鍾書知識的圍城 論沈從文筆下的湘西女神 徐志摩詩歌的文體特徵 日記(書信)在現代小說中的運用 魯迅作品中的民俗現象 以上都是論題獨到、論點新奇的例子。下面舉反例: 論藝術美與生活美(論題過大) 論朱自請的散文(論題過大) 論駱駝祥子和小福子的死(論題過小) 要從某一部作品順帶談一個問題,選擇一個角度來深入的討論。 2、收集材料:材料的收集是一個思考的過程,要帶著問題進行,要有明確的針對性,逐步的凝聚眼光、集中思路,其中要注重一大一小兩個問題: 大:收集材料的過程是完成文獻綜述的過程。跟自己論題相關的成果有哪些,重要的觀點、已經運用過的角度和方法、概括前人的觀點,這是論文的有機組成部分,要放在論文的第一段來寫。 小:要准確的記錄材料的出處、出版社、時間、頁數。 3、提提綱:提綱的邏輯關系、層次感對論文的步驟有直接關系。 4、初稿:初稿盡早盡快的寫,要連貫,一氣呵成,然後再進行修訂。 5、修訂:最後的修改和確定要放慢速度,仔細的斟酌、嚴謹的推敲,反復的打磨。 三、非凡需要主要的幾個問題 1、論文的看法和觀點要盡可能的客觀、公允。持之有據,論之有理。有材料來支持,有邏輯性認同,避免隨意性,不要輕易說一些過頭、過大的話,更不要為了支持自己的論點而貶低其他的觀點。涉及到對應關系的時候更要客觀公允。 2、引文一定要規范。假如打了引號就要註明出處。要註明原出處,不要用時間差異太大的版本(比如引用五四時期的材料就要用五四時期出版的,不要用現代的),用正規的版本,盡可能的避免轉引。 3、要寫自己的感受、體會、感想,寫自己的東西,不要七拼八湊。 例如 http://www.2008w.com [編輯本段]本科畢業論文(設計)裝訂說明 完成的本科生畢業論文(設計)應當按照如下順序裝訂成冊: 1、封面(由教務處統一提供) 2、畢業論文(設計)成績評定表 3、畢業論文(設計)任務書 4、畢業論文(設計)開題報告 5、畢業論文(設計)寫作記錄卡 6、畢業論文(設計)指導教師評審記錄卡 7、彩色分隔頁 8、畢業論文(設計) 畢業論文(設計)包含內容依次為:題目頁、誠信保證、中文摘要、英文摘要、目錄、正文內容、參考文獻、附錄(可選)、外文文獻與翻譯、致謝。 9、封底(由教務處統一提供)

㈣ 汽車尾燈控制時序邏輯電路設計畢業論文

基於VHDL語言的汽車尾燈控制電路的設計

摘要:本課題主要是基於可編程邏輯器件,使用硬體描述語言VHDL,採用「自頂向下」的設計方法編寫程序實現汽車尾燈的控制,並對控制器進行編程下載,它的體積小,功耗低,成本低,安全可靠,能實現控制器的在系統編程,其升級與改進極為方便。
關鍵詞: VHDL 汽車尾燈控制 時鍾信號
1. 尾燈控制電路總框圖,

根據電路總框圖的描述,我們大概可以了解到整個汽車控制尾燈的工作原理,從中我們可以發現當左右轉信號同時有效時,6盞燈的閃爍是通過一個與非門實現的。並且可以獲知本次設計的汽車尾燈控制電路主要分為三個模塊,即控制模塊,左轉LFTA模塊和右轉RITA模塊。了解到這幾點,就可以對本次設計作較為詳盡的解釋。
2.模塊KONG。
模塊KONG如圖所示,此為整個程序的控制模塊。程序如下:

Library ieee;
Use ieee.std_logic_1164.all;
Entity kong is
Port(left,right:in std_logic;
Lft,rit,lr:out std_logic);
End kong;
Architecture kong_logic of kong is
Begin
Process(left,right)
Variable a:std_logic_vector(1 downto 0);
Begin
A:=left & right;
Case a is
When」00」=>lft<=』0』;
Rit<=』0』;
Lr <=』0』;
When」10」=>lft<=』1』;
Rit<=』0』;
Lr <=』0』;
When」01」=>rit<=』1』;
Lft<=』0』;
Lr <=』0』;
When other=>rit<=』1』;
lft<=』1』;
lr<=』1』;
end case;
end process;
end kong_arc;

控制模塊首先使用了庫說明語句:library ieee;
Use ieee.std_logic_1164.all
使用ieee庫中的std_logic_1164程序包的全部資源。此控制模塊定義的實體名為kong。在程序中要求實體名與存儲的文件名一致。實體名為kong,則存儲的文件名為kong.vhd。且此段程序包有5個埠,其名稱分別為left. Right. Lft. Rit. Lr 。left 和right的埠方式是輸入,lft, rit, lr 是輸出,他們的埠類型都是std_logic的數據類型。實體說明部分結束以後,就是結構體的說明部分。結構體是整個VHDL語言中至關重要的一個組成部分,這個部分給出模塊的具體說明,指定輸入與輸出之間的行為。結構體對實體的輸入輸出關系可以用三種關進行描述,即行為描述,寄存器傳輸描述和結構描述。只不過結構體的框架是完全一樣的。本結構體中包含有一個進程語句,進程語句中又包含有兩個敏感量process(left ,right),從begin開始到end process結束是一組順序執行語句,ieee標准數據類型「std_logic_vector」定義了兩位位矢量1downto 0,變數為a。程序往下把left和right的與賦值給a,下面便執行case語句了 ,case語句是無序的,所以所有條件表達式的值都是並行處理的。當條件表達式的值為」00」時則把lft ,rit ,lr,都變為0,所有信號都無效。當條件表達式為」10」時,左轉信號lft有效,其它信號都無效,當條件表達式的值為」01」時右轉信號rit有效,其餘的無效。若條件表達式為其它的情況的話,那麼就將rit ,lft ,lr 全部置1,即全部有效。最後結束case語句 end case .結束進程和結構體語句。
3. 模塊LFTA

源程序:
Library ieee;
Use ieee.std_logic_1164.all;
Entity lfta is
Port(en,clk,lr:in std_logic;
L2,l1,l0:out std_logic);
End lfta;
Architecture lft_arc of lfta is
Begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr=』1』 then
Tmp:=」111」;
Elsif en=』0』 then
Tmp:=」000」;
Elsif clk』event and clk=』1』 then
If tmp=」000」 then
Tmp:=」001」;
Else
Tmp:=tmp(1 downto 0) & 『0』;
End if ;
End if;
L2<=tmp(2);
L1<=tmp(1);
L0<=tmp(0);
End process;
End lft_arc;
模塊LFTA同樣使用了ieee庫語句,定義的實體名為lfta,其共分為六個埠即en,clk,lr,l2,l1,l0,其中en,clk,lr為輸入,l2,l1,l0的埠方式為輸出,而它的埠類型同樣也為std_logic數據類型。LFTA程序中結構體名為lft_arc,實體名為lfta 。結構體中包含有一個進程,共定義了三個敏感量clk,en,lr,設變數名tmp為2 downto 0 的三位位矢量。當左右開關同時接通時lr有效,即lr=1,此時tmp:=」111」右邊的三盞燈全亮起來,當tr=1時但en=0則左邊三盞燈全滅不亮。而如果這兩種情況都不是的話,那麼lr=』0』時當時鍾上升沿脈沖到來時,如果tmp=」000」則左邊第一盞燈亮,否則就將tmp(1 downto 0)和』0』的與賦值給tmp,那麼依次左邊的三盞燈就能實現從左到右按次序亮滅了。最後將tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,結束程序和結構體。這就是在實現左轉彎的時候執行的程序的全過程。通過對左轉的理解,右轉彎就很容易了,其執行的過程和左轉彎的時候非常相似的 。我們也可發現LFTA模塊的功能是當左轉時控制左邊的三盞燈,當左右轉信號都有效時,輸出為全』1』。下面來看一下右轉彎控制模塊。
4.模塊RITA

源程序:
Library ieee;
Use ieee.std_logic_1164.all;
Entity rita is
Port(en,clk,lr:in std_logic;
R2,r1,r0:out std_logic);
End rita;
Architecture rit_arc of rita is
Begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr=』1』 then
Tmp:=」111」;
Elsif en=』0』 then
Tmp:=」000」;
Elsif clk』event and clk=』1』 then
If tmp=」000」 then
Tmp:=」100」;
Else
Tmp:=』0』 & tmp(2 downto 1);
End if;
End if ;
R2<=tmp(2);
R1<=tmp(1);
R0<=tmp(0);
End process;
End rit_arc;
和左轉彎時候的相同,右轉彎時再次使用了ieee的庫說明,這樣我們可以很清楚的理解了右轉彎的原理,此時庫定義的實體名為rita,對於實體名前面已經講過了不再重復了,同樣的程序包中還是使用了6個埠en ,clk,lr,r2,r1,r0. en ,clk, lr的埠方式是輸入,r2,r1,r0的埠方式是輸出。結構體中和左轉時相同引入一個進程同時和三個敏感量:clk,en,lr。變數tmp為2downto 0的三位位矢量。當左右開關同時接通時lr=』1』,那麼此時變數tmp=』111』,即右面的三盞燈都有信號,三盞燈全亮。否則lr=』0』,當en=』0』時,tmp=』000』,即三盞燈全滅掉。Elsif clk』event and clk=『1』即當時鍾脈沖上升沿到來時,en=』1』,如果tmp=」000」,就把」100」送到tmp 此時右邊的第一盞燈亮。否則就把』0』和tmp(2 downto 1)的與送到tmp,則依次為右邊第一盞燈,第二盞,第三盞亮。然後結束if語句。這個之後就和左轉的程序是一樣的了,將tmp(2)中的數值送到r2,將tmp(1)中的數值送到r1,將tmp(0)中的數據送到r0,然後結束進程語句和整個結構體語句。那麼到這里整個汽車尾燈的VHDL程序控制就結束了。
5.結論:
本次設計用到了硬體描述語言VHDL實現了對汽車尾燈的控制,總結整個設計程序我們可以發現一些問題;
設計中的優點:基本實現了汽車在運行時候尾燈點亮方式的各種情況。
設計中的不足:由於在行車的時候都是用開關控制的,所以每一個開關應該有一個消除機械振動的裝置,可以利用基本RS觸發器來實現,所以在條件允許的情況下可以對整個設計進行進一步的改進。
6.參考資料:
王振紅 《VHDL數字電路設計與應用實踐教程》 機械工業出版社 2006年1月
彭容修 《數字電子技術基礎》 武漢理工大學出版社 2005年9月
潘松 黃繼業 《EDA技術與VHDL》 清華大學出版社 2006年11月

2009.12.27

library ieee;
use ieee.std_logic_1164.all;

entity ZHUKONG is
Port(left,right:in std_logic;
Lft,rit,lr:out std_logic);
end;

architecture kong_arc of ZHUKONG is
begin

Process(left,right)
Variable a:std_logic_vector(1 downto 0);
Begin
A:=left & right;
Case a is
When"00"=>lft<='0';
Rit<='0';
Lr <='0';
When"10"=>lft<='1';
Rit<='0';
Lr <='0';
When"01"=>rit<='1';
Lft<='0';
Lr <='0';
When others=>rit<='1';
lft<='1';
lr<='1';
end case;
end process;
end kong_arc;

library ieee;
use ieee.std_logic_1164.all;

entity LFTA is
Port(en,clk,lr:in std_logic;
L2,l1,l0:out std_logic);

end;

architecture lft_arc of LFTA is
begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr='1' then
Tmp:="111";
Elsif en='0' then
Tmp:="000";
Elsif clk'event and clk='1' then
If tmp="000" then
Tmp:="001";
Else
Tmp:=tmp(1 downto 0) & '0';
End if;
End if;
L2<=tmp(2);
L1<=tmp(1);
L0<=tmp(0);
End process;
end lft_arc;

library ieee;
use ieee.std_logic_1164.all;

entity RITA is
Port(en,clk,lr:in std_logic;
R2,r1,r0:out std_logic);
end;

architecture rit_arc of RITA is
begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr='1' then
Tmp:="111";
Elsif en='0' then
Tmp:="000";
Elsif clk'event and clk='1' then
If tmp="000" then
Tmp:="100";
Else
Tmp:='0' & tmp(2 downto 1);
End if;
End if ;
R2<=tmp(2);
R1<=tmp(1);
R0<=tmp(0);
End process;
end rit_arc;

㈤ 本科畢業論文設計幾章比較合適

不知你的畢業論文是試驗性的還是綜述,如果是實驗性的一般是有前言、試驗內容和方法、結果、討論、結論、參考文獻、致謝